CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL d

搜索资源列表

  1. TLC5510

    0下载:
  2. 基于VHDL语言,实现对高速A/D 器件TLC5510 控制-Based on the VHDL language, to achieve high-speed A/D control devices TLC5510
  3. 所属分类:Project Design

    • 发布日期:2017-04-03
    • 文件大小:620
    • 提供者:Aaron Chen
  1. abc

    0下载:
  2. 本软件设计D触发器的目的和任务:1.使学生全面了解如何应用该硬件描述语言进行高速集成电路设计;2.通过软件使用、设计与仿真环节使学生熟悉EDA-VHDL开发环境;3. 通过对基本题、综合题的设计实践,使学生掌握硬件系统设计方法(自底向上或自顶向下),熟悉VHDL语言三种设计风格,并且培养学生应用VHDL语言解决实际问题的能力。 -The software design of D flip-flop of the purpose and tasks: 1. To enable students t
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-03-28
    • 文件大小:1644
    • 提供者:hooyu
  1. suocunqi

    0下载:
  2. D锁存器VHDL语言描述。使能端有效时,Q《=D-D latch described in VHDL language. Enable effective end when, Q " = D
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-30
    • 文件大小:2069
    • 提供者:yuer
  1. adc5510

    0下载:
  2. 使用VHDL语言编写的A/D转换程序,可在FPGA平台使用-Using the VHDL language in the A/D conversion process can be used in the FPGA platform
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:768
    • 提供者:刘浏
  1. d_flipflop

    0下载:
  2. this is a general d-flip flop design in vhdl.
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-04-08
    • 文件大小:3330
    • 提供者:yoad
  1. zonggongcheng

    0下载:
  2. 三个结合起来的D触发器的vhdl,分别是电平触发,上升沿出发和下降沿出发。-Combining the three D flip-flop vhdl, respectively, trigger level, rising and falling edge start start.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:1154
    • 提供者:张道宁
  1. Xilinx_DCM

    0下载:
  2. 基于ise 10.0来实现Xilinx的时钟设计和管理-Xilinx dcm digital clock manager
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:8014
    • 提供者:ise_dcm
  1. d_flip_175

    0下载:
  2. 4 D-FlipFlop source code with VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:954
    • 提供者:micom76
  1. dff

    0下载:
  2. 用VHDL语言编写的带进位、置位、复位的D触发器,异步清零D触发器,同步清零D触发器-library ieee use ieee.std_logic_1164.all use ieee.std_logic_unsigned.all entity exp7_10 is port( clk: in std_logic d: in std_logic clr: in std_logic en,s:in std_logic q: o
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-28
    • 文件大小:716
    • 提供者:jly
  1. d_ff

    0下载:
  2. 带置位、清零使能的D触发器以及同步清零D触发器、异步清零D触发器-VHDL,DFF
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:717
    • 提供者:jly
  1. 2dFIR

    0下载:
  2. 2 D FIR filter With VHDL-2-D FIR filter with VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:5870
    • 提供者:bingyu
  1. FIFO

    0下载:
  2. 这是用VHDL设计的一个8*9阵列的D触发器组成FIFO(first in first out)-This is a VHDL design using an 8* 9 array of D flip-flop composed of FIFO (first in first out)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:9142
    • 提供者:crossover
  1. project6_source

    0下载:
  2. VHDL D_Flip-Flops D Flip-Flop P/C layout and results of verification.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3580
    • 提供者:bo
  1. adc

    0下载:
  2. 掌握S3C2410A的模/数(A/D)转换器的应用设置,进行电压信号的测量.使用AIN0和AIN1测量两路直流电压,并将测量结果通过UART0向PC机发送.-NC divider based on VHDL language, the designer can modify the frequency coefficient code
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-04
    • 文件大小:1150
    • 提供者:李建东
  1. f_add

    0下载:
  2. EDA实验中的全加器的VHDL语言的实现,包含半加器、全加器、JK触发器、D触发器以及50m分频的源程序-EDA test full adder in VHDL language implementation, including the half adder, full adder, JK flip-flop, D flip-flop and the frequency of the source 50m
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-04
    • 文件大小:1316735
    • 提供者:
  1. lab2

    0下载:
  2. D-type storage elements The circuit below contains three different types of storage element: a gated (transparent) D latch, a positive-edge triggered and negative edge triggered D-type flip-flops. Write a VHDL file that instantiates the th
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-14
    • 文件大小:3438435
    • 提供者:sunyan
  1. LCD

    0下载:
  2. 实现vhdl语言中的一系列功能和方式方法。具体的功能是lcd实现的编译和仿真。-it can do d function as lcd.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:233038
    • 提供者:路超
  1. DFF1

    0下载:
  2. 较好的D触发器VHDL代码,欢迎大家下载交流,学习。-good D vhdl date,wolcom to use.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:167875
    • 提供者:小刚
  1. digital-storage-oscilloscope

    0下载:
  2. 本题设计一个数字存储示波器,以Xilinx公司20万门FPGA芯片为核心,辅以必要的外围电路(包括信号调理、采样保持、内部触发、A/D转换、D/A转换和I/O模块),利用VHDL语言编程,实现了任意波形-The problem to design a digital storage oscilloscope, to Xilinx, Inc. 200,000 FPGA chip as the core, supplemented by the necessary peripherals (incl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:14371
    • 提供者:Jasen
  1. New-folder

    0下载:
  2. Vhdl codes for D flip flop and so
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-11
    • 文件大小:767
    • 提供者:Ashwin
« 1 2 ... 4 5 6 7 8 910 11 12 13 »
搜珍网 www.dssz.com